Skip to content

Equipment / Capabilities

The WNF can process a wide range of unique materials and substrates ranging from a few millimeters up to 200 mm wafers. Most equipment is configured for standard operation with 100 mm wafers. Below is a summary of the tools we offer.

Lithography:

  • i-line Stepper (5x)
  • Contact Mask Aligner
  • Direct Write Laser/Mask Writing
  • Electron Beam Lithography (JEOL JBX-6300FS)
  • Nanoscribe Nano 3D printer

Etch:

  • Deep Reactive Ion Etch
  • Chlorine and Fluorine ICP Etch
  • Reactive Ion Etch
  • HF and XeF2 Vapor Etch

Deposition:

  • Chemical Vapor Deposition (CVD)
  • Atomic Layer Deposition (ALD)
  • Electron Beam Evaporation
  • Sputter
  • Electroplating (Au, Cu, Ni, others)
  • Parylene C, N

Core Processing:

  • Atmospheric Diffusion Furnace
  • Rapid Thermal Anneal (RTA)
  • Vacuum Anneal
  • Wet Chemical Processing
  • Precision Wafer Bonding
  • Lapping / Polishing
  • Wire Bonding
  • Dicing Saw

Metrology:

  • Optical Microscopy
  • Scanning Electron Microscopy (SEM)
  • Contact and Non-contact Profilometers
  • White Light Interferometer
  • Reflectometer

Detailed List of Tools

Tools denoted with an (*) are capable of up to 200mm substrates. Additional details can be found in our tool list.

Photolithography and Wet Process

Our photolithography suite includes equipment for resist application, baking, and exposure, and also wet chemical facilities for wafer cleaning, photoresist development, and stripping. For questions, contact the lithography area staff lead.

The optical lithography equipment set includes:

Suss MicroTec LabSpin 8 Bench Mounted Spin Coater (*) – 100-200mm capable system in Class 10 mini environment

Suss MicroTec HP8 Bench Mounted Hotplate (*) – 100-200mm capable programmable, electropolished 250C hotplate for resist bake in Class 10 environment

Specialty Coating Systems 6808P Spin Coater (2) – pieces to 150mm capable system

Headway Research, Inc PWM32 Spin Coater – pieces t0 150mm for thick/specialty resist

Yield Engineering Systems (YES) LP-III Vapor Prime Oven – vacuum oven for HMDS priming

EV Group (EVG) 620 Automated Mask Alignment System – double-side contact lithography and bond alignment for up to 100mm substrates

EV Group (EVG) 501 Wafer Bonder – aligned covalent, thermo-compression, adhesive, and anodic bonding of up to 100mm substrates

ABM Contact Aligner – manual contact aligner for up to 100mm substrates

Heidelberg Direct Write µPG101 Laser Mask Writer – 3µm spot UV laser writer for contact plate and direct write applications

Canon FPA-3000 i4 i-line stepper (*) –  5X reduction projection lithography stepper for up to 200mm wafers with CDs down to 350nm (expected Sept 2014)

WAFAB Immersion develop and solvent lift-off and resist strip baths

WAFAB Piranha, RCA Clean, HF/BOE, KOH/TMAH micro-machining, and general purpose wet process stations

ClassOne Trident 8800 Spin Rinse Dryers (*)

Yield Engineering Systems (YES) 450PB (*) – polyimide curing furnace capable of up to 450C in vacuum or nitrogen ambient with programmable ramping for stress control

Blue M ovens for hard bake (*)

Nano-lithography

We have an advanced electron beam lithography tool and a Nanoscribe 3D nanoscale 3D printing  tool.  To learn more about our electron beam lithography system, visit its website at http://ebeam.wnf.uw.edu/ or contact the lithography area staff lead.

Nanoscribe Photonic Professional GT system – a 2-photon 3D printer system for making unique nanoscale 3D printed devices rapidly.

JEOL JBX-6300FS Electron Beam Lithography System (*) – 100kV system for direct write nano-lithography on up to 150mm substrates and is upgradable to 200mm.

Dry Etching

Dry etching at the WNF includes reactive ion etching, inductively coupled etching, and plasma ashing. For questions about the dry etch area, contact the dry etch area staff lead.

SPTS Rapier DRIE (*) – high rate Deep Reactive Ion Etcher for micromaching, trench etching, and through silicon via (TSV) etch

Oxford Instruments Plasmalab 100 ICP-380 – Deep Reactive Ion Etcher (DRIE) for deep silicon etch applications

Oxford Instruments Plasmalab 100 ICP-180 Chlorine – Inductively Coupled Plasma (ICP) etcher for silicon photonics and III-Vs

Oxford Instruments Plasmalab 100 ICP-180 Fluorine – ICP high-density plasma etcher for silicon, oxides, nitrides

PlasmaTherm / Advanced Vacuum Vision Reactive Ion Etcher (RIE) (*) – etcher for silicon, oxides, nitrides on up to 200mm substrates

Trion Phantom Reactive Ion Etcher – etch for general purpose applications and materials

Glow Research AutoGlow Barrel Asher – plasma asher for resist strip

YES Downstream Asher – plasma asher for resist strip

SPTS Vapor HF etcher – for release of material on SiO2/SiNx

SPTS XeF2 etcher – for release of materials on silicon

Physical Vapor Deposition

We have multiple systems for electron beam beam evaporation and sputter deposition. For questions about PVD, contact the PVD area staff lead.

CHA SEC-600 – electron beam evaporator

CHA Solution – electron beam evaporator

NRC 3117 – electron beam evaporator

Kurt J. Lesker Lab 18 – experimental sputtering system

Evatec EVO Sputter tools

Chemical Vapor Deposition and Thermal Processing

For questions about CVD, ALD, including PECVD and LPCVD, contact the relevant staff lead.

SPTS APM PECVD (*) – Low temperature (125C), high-rate PECVD of SiO, SiN, TEOS, amorphous-Si, and SiOxNy on up to 200mm substrates with dual frequency supplies for stress engineering

Oxford Plasma Lab 100 PECVD – PECVD of SiO, SiN, and SiOxNy

Oxford Plasmalab 80PLUS OpAL ALD – Atomic layer deposition of metal-oxides

Expertech Compact Thermal Reactors (CTR) (*) – diffusion/drive furnaces for annealing, doping drive, wet oxidation, and polysilicon

Allwin32 AccuThermo AW 610 – dedicated rapid thermal annealers for diffusion drive and metal annealing/sintering

Picosun ALD – two atomic layer deposition systems that share a common glove box for metals and metal-nitride deposition

Metrology

For questions about SEM or other metrology equipment including profilometers and optical microscopes, contact the relevant staff member.

KLA-Tencor P15 (*) – stylus based profilometer

Tencor AlphaStep 200 – stylus based profilometer

Wyko NT3300 – white light interferometer for non-contact profilometery

Four Dimensions 280SI 4-point Probe (*) – 4-point probe for automated sheet resistance mapping

Filmetrics F50UV – reflectometer for mapping and characterizing thin-films

Nanometrics 210 – reflectometer for single-point measurements on patterned wafers

JEOL JSM-7400F – scanning electron microscope

Microscopes (*) – several standard inspection microscope workstations

Leica Digital Microscope – multi-angle, high depth-of-field digital camera microscope

Keyence – Laser confocal microscope for non-contact profilometery

Back-End-of-Line (BEOL)

ClassOne Technology SOLSTICE plating system – precision wafer-plating system focusing on TSV applications

Disco DAD321 – semi-automatic dicing saw

WestBond 4700E Semi-automatic ball-wedge bonder – programmable loop semi-automatic gold wire bonding, multi-tier and gold stud bump capable

Logitech PM5 Lapping / Polishing system – lapping/polishing capability up to 100mm substrates with <1um TTV

Universal Laser M25 – CO2 laser for cutting of polymers

Screen printer

Finetech PICO manual die bonder – manual die placer/bonder for flip-chip and metallic bonding applications

Probe Stations – Cascade Microtech and Cryo-probe stations

ClassOne Solstice LT – wafer level plating system for RDL/TSV copper, gold, nickel, indium, and tin plating

ClassOne Vacuum Pre-West station – for TSV wafer prep

Electroplating – gold, copper, and nickel

Experimental Electroplating System – wafer plating with low volumes

Strasbaugh 6EC –  Chemical mechanical polisher for 100-200mm wafers. Quick change table for conversion between metal and dielectric

G&P 412 Post-CMP Cleaner – Compact double-sided PVA cleaner for 100-300mm wafers

Strasbaugh 7AA – Wafer backgrinder with customized in-situ gauge for precise monitoring of grinding on 100-200mm wafers

Tools

Dynatronix DuP 10-1-3 Copper Electroplating Bath – Bath used for electroplating copper

Dynatronix DuP 10-1-3 Gold Electroplating Bath – Bath used for electroplating gold

ClassOne Technology Solstice LT – Single Wafer-level plating system for 100-200mm with robotic control

Silicon Valley Wafer Plating Beaker on a Stick – Single wafer plating system for low volume experimental plating

Disco America DAD321 – Wafer dicing capable of 150mm diameter wafers and smaller.

Finetech Fineplacer – Flip-chip die bonder with thermosonic bonding and standard reflow with better than 5um alignment tolerance

Logitech Logitech PM5 – Lapping and polishing machine

West Bond, Inc 4700E-79 – Semi-automated ball-wedge bonder and gold stud bumper

Presco D-59114 – Screen printer

Strasbaugh 6EC –  Chemical mechanical polisher for 100-200mm wafers. Quick change table for conversion between metal and dielectric

G&P 412 Post-CMP Cleaner – Compact double-sided PVA cleaner for 100-300mm wafers

Strasbaugh 7AA – Wafer backgrinder with customized in-situ gauge for precise monitoring of grinding on 100-200mm wafers

SPTS APM PECVD – Low temperature (125C), high-rate PECVD of SiO, SiN, TEOS, amorphous-Si, and SiOxNy on up to 200mm substrates with dual frequency supplies for stress tuning.

Oxford Instruments Plasma Lab 100 PECVD – PECVD of SiO, SiN, and SiOxNy

Altatech AltaCVD – Pulsed CVD of metal, metallic barrier, metal-oxide and films. Currently setup for CVD TaN/Cu plating liners.

Expertech CTR-200 Diffusion Furnace – Diffusion/drive furnaces for annealing and doping drive

Expertech CTR-200 Oxidation Furnace – Furnace for wet and dry oxidation

Expertech CTR-200 LPCVD Furnace – Low pressure chemical vapor deposition furnace for polysilicon or nitride deposition

Allwin32 AccuThermo AW 610 Rapid Thermal Annealer – Dedicated rapid thermal annealer for diffusion drive

Allwin32 AccuThermo AW 610 Rapid Thermal Annealer – Dedicated rapid thermal annealer for metal annealing/sintering

Oxford Instruments ICP 380 – Deep Reactive Ion Etch system for etching silicon using a pulse-etch process of alternating SF6 and C4F8 gases to achieve high etch rate, high aspect ratio structures.

Oxford Instruments PlasmaLab 100, ICP-180 Chlorine Etcher – Highly anisotropic Inductively Coupled Plasma (ICP) etching using chlorine-based etch chemistries for etching Silicon, III-V semiconductors, aluminum and chrome. Load-locked for rapid load/unload and high process consistency.

Oxford Instruments PlasmaLab 100, ICP-180 Fluorine Etcher – Highly anisotropic Inductively Coupled Plasma (ICP) etching using fluorine-based etch chemistries. Load-locked for rapid load/unload and high process consistency. An LN2-cooled stage provides cryo-etching capabilities.

SPTS-DRIE Rapier Deep Si (Dsi) Etcher – Deep Reactive Ion Etch system for etching silicon using a pulsed-etch process with advanced features such as parameter ramping, footing control, and fast switching to achieve high etch rate, high aspect ratio structures on up to 200mm wafers with minimal aspect-ratio effects.

Trion Phantom RIE – A parallel-plate Reactive Ion Etch (RIE) system. Open load for flexible substrate handling. Etch gases are: Ar, CF4, CHF3, N2, O2, and SF6.

Plasmatherm Vision RIE – A parallel-plate Reactive Ion Etch (RIE) system. Open load for flexible substrate and materials handling.

JEOL JBX-6300FS Electron Beam Lithography System – Direct-write 100 keV Electron Beam Lithography system producing feature sizes as small as 10 nm, and layer-to-layer registration ~30 nm m+3s, on up to 150mm substrates and is upgradable to 200mm

Suss MicroTec LabSpin 8 Bench Mounted Spin Coater – 100-200mm capable programmable spin-coating system in Class 10 mini environment

Suss MicroTec HP8 Bench Mounted Hotplate – 100-200mm capable programmable hot plate, electropolished 250C hotplate for resist bake in Class 10 environment

Specialty Coating Systems (SCS) 6808P Spin Coater – Spin-coating system capable for pieces to 150mm

Headway Research PWM32 Spin Coater – Spin-coating system capable for pieces to 150mm with thick/specialty resist

ABM Contact Aligner – Manual contact aligner for up to 100mm substrates

EV Group (EVG) 620 Automated Mask Alignment System – Double-side contact lithography and bond alignment system for up to 100mm substrates

Heidelberg Direct Write µPG101 Laser Mask Writer – 3µm spot UV laser writer for contact plate and direct write applications

Canon FPA-3000 i-line stepper – 5x reduction projection lithography stepper for wafers of up to 200 mm diameter with critical dimensions down to 350 nm

Yield Engineering Systems (YES) LP-III Vapor Prime Oven – Vacuum oven for HMDS priming

Yield Engineering Systems (YES) 450PB – Polyimide curing furnace capable of up to 450C in vacuum or nitrogen ambient with programmable ramping for stress control

GCA/Precision Scientific 368A – Vacuum Oven (large, tan)

Fisher Scientific 725G Photoresist Bake Oven (Top) – Oven for photoresist post bake at 110-120 C

Fisher Scientific 725G Photoresist Bake Oven (Bottom) – Oven for photoresist soft bake at 90 C

Yield Engineering Systems (YES) CV-200FRS Plasma Asher – Tray loaded plasma asher for stripping resist/descum on up to 200mm wafers.

Nanonex NX-B100 Nanomprintor – System for thermal nanoimprinting

Glow Research AutoGlow – Barrel asher used to strip resist or other organic films, descum patterned resist, or clean surfaces of residual organics using an isotropic oxygen plasma.

EVG 501 – Thermal compression anodic bonding for Si and glass substrates.

Leica Reihert Polylite 88 Inspection Microscope – High resolution optical microscope

Leica MDC56 Inspection Microscope – High resolution optical microscope with a digital camera mounted

Nikon Optiphot 200 Inspection Microscope – High-resolution optical microscope including dark-field, polarized and Normarski interference imaging. LED light sources for both reflected and transmitted illumination, and a CMOS camera for image capture.

Zeiss Axiotron Inspection Microscope – High resolution optical microscope

Tencor Alpha Step 200 – Stylus profilometer for measuring vertical step heights in a wide range of materials.

Bruker DektakXT – A surface profilometer for physical measurement of topography on wafers. Can program a sequence of locations to scan, measurement of topography, as well as calcuation of film stress.

Tencor P-15 – A surface profilometer for physical measurement of topography on wafers. Can program a sequence of locations to scan, measurement of topography, as well as calcuation of film stress.

Wyco NT3300 – Non contact, highly accurate, 3D surface topography measurements using optical interference.

Four Dimensions 280 SI – The Four Dimensions Four-Point Probe Model 280 SI is capable for making sheet resistivity measurements on various samples. It can map the sheet resistance of samples up to 8 inches in diameter. There are standard maps in the system and custom maps can be created.

Mitutoyo Absolute – ABS Digimatic indicator ID-C is a standard digital thickness gauge

Filmetrics F50 – Optical measurement of thin film layers on various substrates. Uses spectral reflectance to determine film thickness, refractive index, and extinction coefficient by scanning wavelengths from 200 to 1700 nm.

JEOL JSM7400F – Very high-resolution cold field emission Scanning Electron Microscope (SEM), provides imaging down to a few nm. Conventional, in-lens and backscattered electron detectors are available.

Nanospec 210 – Single-point spectral reflectance to measure film thickness and refractive index.

Leica Leica E24 – High resolution optical microscope

VWR Scientific Inc. 1410 – Vacuum Oven (small, black)

Oxford Instruments Plasmalab 80PLUS OpAL ALD – Atomic layer deposition of metal-oxides

Specialty Coating Systems (SCS) Labcoter 2010 – Parylene deposition system

CHA SEC-600 E-beam Evaporator – Cryo-pumped electron beam evaporator for depositing metal films.The system holds 4 crucibles and has planetary fixtures

CHA Solution E-beam Evaporator – Electron beam evaporator for depositing metal films. The system has 6 pockets for different materials during deposition.

NRC 3117 E-beam Evaporator – Electron beam evaporator for depositing thin metal films. It is diffusion pumped. The system has a 4 pocket hearth

Kurt J. Lesker Lab 18 Sputter  – Cryo pumped system used for sputter deposition of films, with DC, RF, and pulsed DC power supplies.

Thinky-Mixer ARE-250 – The THINKY ARE-250 Mixer is an industrial non-contact “planetary” mixer for all engineering compounds. It mixes, disperses and degasses your materials in seconds to minutes.

Desert Ctyogenics TTP4 – Cryogenic manipulated-probe station used for non-destructive electrical testing of devices on full and partial wafers

CASCADE Microtech M150 – Manual probing station for wafer probes and microwave testing

Keithley 2600-PCT – High voltage parametric curve tracer with 8020 high power interface panel

Keithley PCT-CVU – Multi-frequency capacitance-voltage meter

Keysight 26.5 GHz FieldFox Microwave Analyzer – Microwave spectrum analyzer and vector network analyzer system for microwave, antenna, and high-speed datalink measurement

Keysight Test equipment bundle – Waveform generators, digital multimeters, power supplies, amplifiers, 4-ch oscilloscope, IV

Class One Technology Avenger Ultra-Pure-1 – Spin Rinse Dryer (SRD) used to rinse and dry wafers.

Class One Technology Avenger Ultra-Pure-2 – Spin Rinse Dryer (SRD) used to rinse and dry wafers.

WAFAB International Piranha Workstation – Piranha baths are used to remove organics such as photoresist, oil, and solvent residue. 30% hydrogen peroxide (H2O2) is metered and injected as needed just before processing.

WAFAB International RCA Clean Workstation – Standard Clean 1 (SC–1) that is primarily used for particulate removal. Standard Clean 2 (SC–2) that is used to remove metal contamination.

WAFAB International HF/BOE Workstation – Contains two sixteen–liter baths for hydrofluoric acid (HF) processing for 10:1 buffered oxide etch (BOE) and for 49% HF

WAFAB International KOH/TMAH Workstation – Contains baths for KOH and TMAH for bulk Si etch

WAFAB International Developer Workstation – The wet bench “BATH5–Develop” contains two five–liter baths used to develop stocked AZ photoresists, a cascade bath, a sink,  sprayer, and  nitrogen gun. The left bath is filled with a 4:1 [vol/vol] ratio of DI:AZ400K and the right bath is filled with 4:1 [vol/vol] DI:AZ340.

WAFAB International Resist Wet Strip Workstation – Heated bath filled with EKC 830 for positive resist stripping and a bath with an ultrasonic agitator, cascade bath, a deionized water (DI) sprayer, and a nitrogen gun. This is also the primary solvent bench for SU–8 development.

WAFAB International Gen Purpose Wet Process Workstation – General purpose bench with sink, water sprayer, and nitrogen gun.

WAFAB International Gen Purpose Wet Process Workstation – General purpose bench with sink, water sprayer, and nitrogen gun.

WAFAB International Gen Purpose Wet Process Workstation – General purpose bench with sink, water sprayer, and nitrogen gun.

Oerlikon EVO II PVD Cluster 1 – PVD production tool common loadlock w/ 5 sputter targets, plasma clean

Oerlikon EVO II PVD Cluster 2 – PVD production tool common loadlock w/ 5 sputter targets, plasma clean